Nplasma etching an introduction pdf

Development and characterization of plasma etching processes for. The development of finer semiconductor devices with even thinner layer means that etching systems must process gate materials with high selectivity to extremely thin gate dielectric layer and without etching damage, under precise cd critical dimension control. Plasma etching is a way of creating features in virtually any material, the features can be on the nm scale or 100s of microns. The author looks at the dynamics and stability of magnetoplasma and. Berkeley ee143 f2010 lecture 15 1 reactive ion etching r ie plasma wafers rf. In order to use this technology for sidewall gate process, an important issue is to achieve a high etching selectivity between sinx and siox. While anodic etching is sometimes used 3, the necessity of making electrical contact to slices of small dimensions is invariably a disad vantage. According to the model extracted from the experiment, the etch rate was linearly proportional to the gas. Introduction in largescale integrated circuit lsi manufacturing, particles generated in the process equipment, especially in the plasma etcher, cause serious problems such as decreased yield of lsi in massproduction and low machine operating e. Introduction etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer planarization, isolation and cleaning.

Publishers pdf, also known as version of record includes final page, issue and volume numbers. Plasma etching is a form of plasma processing used to fabricate integrated circuits. Introduction to plasma etching university of texas at austin. Rie is advantageous over wet etching in that it produces good anisotropy or selectivity where one species is etched much faster than another. Particle reduction and control in plasma etching equipment. Etch rates from 200 to over 3000 nmmin were obtained. Plasma etching is an essential tool in todays world, enabling many of the technologies we take for granted. Etch rate uniformity across wafer key etch variables. Suitable for researchers, process engineers, and graduate students, this book introduces the basic physics and chemistry of electrical discharges and relates them to plasma etching mechanisms. Plasma etching, gate shifting, photoresist degradation, roughness, hkmg, 14fdsoi. Its advantages are the ease of operation and low cost.

Wet anisotropic etching of silicon also provides high reproducibility of. Plasma etching is a relatively new technique in the fabrication of integrated circuits. In plasma systems, ions can be accelerated fast enough so as to ram into the surface, knocking out. The specimen was removed from the epoxy mount, wrapped in platinum wire and immersed in molten koh at 673 k for 10 to 15 sec.

The process dislodges particles of material from the surface and unlike liquid etching acid etching, dry etching is performed either directionally or anisotropically. However, there are other types of plasma etching, including plasma ashing and ion. Dry etching may be accomplished by any of the following. Chapter 2 dry etching characteristics of sinx and siox. Modelling, simulation and multivariable control of plasma etching of silicon and silicon dioxide by liang tan, b. The emphasis will be on the fundamental physical and chemical processes that determine the consequences of a reactive gas plasmasurface interaction. Chamber pressure y pressure torr chemical plasma etching. Plasma etching is a synergistic process of both chemical and physical etching, which makes it distinctive from conventional wet etching.

For plasma etching of silicon nitride, usually gases containing fluorine like cf4, nf3, chf3 and sf6 18 are used, in most cases in mixtures with other gases as o2, n2, h2, ar and no. See section 5 for details on people available for contact. Inductively coupled plasma etching of gaasalgaas was investigated in bcl3cl2ar using a mixture design experiment. Its a robust machine that is perfect for any industry needing an affordable plasma etching solution. Introduction of plasma much more than just a cleaning process.

Dry etching is a type of plasma etching, wherein the materials are blasted with ions in a gaseous atmosphere, as previously described. The plasma source, known as etch species, can be either charged or neutral atoms and radicals. A new microwave plasma etching system using time modulation. Memsii lecture 2 dry etching i university of florida. Plasma etching system and its applications to 4532nm leadingedge devices 58 accomplished by applying coaxially installed turbo molecular pumps, symmetrically designed electrodes, and specially designed variable conductance valves to a completely symmetrical reaction chamber, as shown in fig. Plasma is used in applications where it is important to combine materials or to specifically change their surface properties. Using the chemistry of the etch to remove material into a solution liquid or gaseous solution sputtering. School of electronic engineering, dublin city university, dublin 9, ireland. The pe75 excels at all types of cleaning, bonding, surface modification, and etching. Plasma etching is commonly employed in the chemical etching process of wafer fabrication because of its low cost and good control of etching profile and uniformity. Finally, the third element in the oipt ion source is a neutraliser which is basically an electron source. Many of the plasmas used in dry etching are weakly ionized ionization fraction, x i plasma etching page 3 introduction ideal etching process substrate film to be etched resist substrate resist prior to etch after etch no process is ideal, some anisotropic plasma etches are close ideal etching is the accurate transfer of the pattern to the underlying film. Plasma etching is widely used for etching nanoscale features because it can produce vertical sidewall profiles with little or no undercut. Introduction aluminum nitride aln is an iii v group compound semiconductor material with the wide bandgap of 6.

The most commonly used form of plasma etching is referred to in the microfabrication world as reactive ion etching rie. Plasma etching plays an essential role in microelectronic circuit manufacturing. An introduction to plasma etching for vlsi circuit. The etch rate of metals can be reduced with the introduction of oxygen into the etching chamber, as shown in fig. It was introduced in the seventies, mainly for stripping resists. Pdf the novel plasma etching process for defect reduction. History and future of hitachis plasma etching system. A thesis submitted to dublin city university in fulfilment of the requirements for the degree of doctor of philosophy project supervisors. Plasma etching summary plasma has ions and excited species of reactive gases reactive ions are directed to the surface by electric fields chemical reactions take place at the surface and eat away the material sputtering can also etch away material reaction products are removed in gas form dry etching. Introduction of plasma much more than just a cleaning. Optimization of graphene dry etching conditions via combined microscopic and spectroscopic analysis mariana c.

Investigations on an rfplasma related to plasma etching. For example, the smartphone would not be possible without it. Plasma etching demonstration using the pe75 system from. Plasma etching techniques are typically classed by the configuration of the electrodes. Optimization of graphene dry etching conditions via. The plasma source, known as etch species, can be either charged ions or neutral atoms and radicals. Therefore, it can be concluded that the etching of ni films is governed by physical sputtering along with the assistance of chemical reaction.

Plasma etching has become a crucial process step for the microelectronics industry, as computer logic and memory circuits require increasingly precise fabrication of finescale patterns in semiconductors, metals, and insulators. Advanced plasma etch chambers are equipped with a lot of knobs for controlling the etch process. Plasma etching was performed using the system shown in figure 2. Dry etching substrates are immersed in a reactive gas plasma. Thus, many different applications are feasible, for example. Plasma technology offers solutions for any type of. Plasma etching techniques including rie, pe, icp, and drie. This futureoriented technology allows for modification of a wide range of surfaces. Plasma etching is one of the main applications of plasma treatment and the plasma system known as a plasma etcher is commonly used in production of semiconductor devices. Modeling and simulation of plasma etching reactors for. A brief discussion of the plasma etching process will clarify the role of the. Pdf the role of plasma etching in the semiconductor technology upon switching from the microscale to the. A plasma creates ions, and neutral species that are drawn to a biased electrode. The most common plasma etch configurations are reactive ion etch rie, planar etch pe, inductively coupled plasma etch icp etch, or deep reactive ion etch drie etch.

Highdensity inductively coupled plasma etching of gaas. Tailoring gas chemistry for selectivity vs anisotropy. Traditionally, wet anisotropic etching of silicon is used for this purpose 1. B damage and contamination of the etched surface should be minimized plasma etching is a key technology in the fabrication of semiconductor. Emphasis is placed on etching rates, uni formity, anisotropy, selectivity, and critical dimension control. Plasma etching system and its applications to 4532nm. Etching can be characterized by how much of the process is. It is highly controllable and can be used to etch a wide variety of materials. Plasma cleaning, therefore, is much more than just a cleaning process, it is also a surface. Wet chemical etching basics before going into the speci. Plasma etching is a form of plasma processing designed to remove material from a sample using plasma discharges. Plasma etching typically high pressure, no ion bombardment substrate placed on. Rack university of tennessee terminology etching the process by which material is removed from a surface mask layer used to protect regions of. A novel dry etching of submicron emitter for inpingaas heterojunction bipolar transistor has been developed using inductively coupled plasma etching.

Edc plasma plasma discharge zones paschenslaw erf plasma ehighdensity plasmas edrie microloading silicon grass 172005 eel6935 advanced mems spring 2005 instructor. Plasma etching page 3 introduction ideal etching process substrate film to be etched resist substrate resist prior to etch after etch no process is ideal, some anisotropic plasma etches are close ideal etching is the accurate transfer of the pattern to the underlying film. It involves a highspeed stream of glow discharge plasma of an appropriate gas mixture being shot in pulses at a sample. Introduction vertical etching of silicon is widely used for forming relief structures in mems technologies. Plasma etching, or reactive ion etching rie, is an important unit operation that is used to remove thin films. In the eighties, plasma etching became a mature technique to etch layers and was introduced in the production of integrated. It involves a highspeed stream of glow discharge of an appropriate gas mixture being shot in pulses at a sample. Apr 20, 2016 its a robust machine that is perfect for any industry needing an affordable plasma etching solution. A short, selfsufficient introduction to the physics of plasma for beginners as well as researchers in a number of fields. High density plasma etching of nickel thin films using a.

Several approaches to solve the problem of si3n4sio2si etch selectivity were analyzed. The potentially damag ing effects of plasma processing on. The first day of this course covers plasmaassisted etching phenomena and equipment in a manner that will assist the attendee in understanding and developing plasma etching and rie processes. During the process, the plasma generates volatile etch products at room temperature from the chemical. The mechanisms of plasma etching of silicon dioxide have been previously. Applications of plasma technologies to thin film preparation.

Optimization of graphene dry etching conditions via combined. Chapter 2 dry etching characteristics of sin x and sio x icp has been extensively applied to ic manufacturing. Introduction to plasma etching willson research group. Dry etching is an etching process that does not utilize any liquid chemicals or etchants to remove materials from the wafer, generating only volatile byproducts in the process. Reactive ion etching is an anisotropic processreactive ion etching is an anisotropic process.

A new microwave plasma etching system using time modulation bias technology overview. Etching with molten koh was performed as outlined in figure 1. Tadigadapaplasma etching without ion bombardment, f 2 radicals etch sio 2 veryyy slowly since. Rack university of tennessee plasma etching outline plasma vs. This anisotropic feature can be further enhanced by allowing the deposition of certain passivating films to occur along vertical sidewalls during the plasma reaction.

679 982 1238 1582 1386 798 247 1490 214 510 444 1453 1494 1219 157 468 789 1295 914 791 1201 843 871 262 576 1077 1155 773 519 199 23 768 682 1283 960